Torna indietro   Hardware Upgrade Forum > Componenti Hardware > Processori

ASUS ProArt PA32UCXR: 4K, Quantum Dot e Mini-LED i per professionisti dell'immagine
ASUS ProArt PA32UCXR: 4K, Quantum Dot e Mini-LED i per professionisti dell'immagine
Un monitor veramente completo, per funzionalità e prestazioni. La presenza di un colorimetro integrato consente di agevolare le operazioni di calibrazione, anche per il mantenimento periodico delle prestazioni
HUAWEI WATCH FIT 3: lo smartwatch che ridefinisce design e fitness! Recensione
HUAWEI WATCH FIT 3: lo smartwatch che ridefinisce design e fitness! Recensione
Huawei è capace di sorprendere ancora e quest’anno lo fa con questo nuovo smartwatch WATCH FIT 3 che coniuga un design elegante e moderno con funzionalità di prim’ordine. Un mix tra smartwatch e fitness tracker con il coach animato incorporato.  
HONOR 200 Lite, lo smartphone economico per ritratti, selfie, e non solo. La recensione
HONOR 200 Lite, lo smartphone economico per ritratti, selfie, e non solo. La recensione
HONOR 200 Lite si presenta come uno smartphone completo e versatile a un prezzo molto competitivo. Caratteristiche interessanti sono il generoso display AMOLED da 2000 nits e la fotocamera principale da 108MP con tre lunghezze focali simulate per i ritratti. A coronare il pacchetto un'esperienza software completa grazie a MagicOS 8.0 e, in questo momento, una promozione lancio che permette di risparmiare 40€ sul listino ufficiale
Tutti gli articoli Tutte le news

Vai al Forum
Rispondi
 
Strumenti
Old 07-05-2024, 00:15   #1601
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Quote:
Originariamente inviato da maxsin72 Guarda i messaggi
AMD ha sempre mantenuto NDA molto stretti, pensa che io sono stupito dei leaks che ci sono stati su ZEN5
Con AMD le fughe di notizie diciamo che non sono fughe di notizie ma concordate da AMD.
Con Zen1000 e Zen2000 si cominciava con i rumor, poi con i bench e poi con i listini... Su Zen3 non ho seguito... su Zen4 occhio e croce simile.
Con Zen5 si è partiti in pompa magna, addirittura rumor su possibile commercializzazione già al lancio (o comunque distribuzione entro giugno)... ora abbiamo avuto 2 settimane di silenzio in cui non si sa nulla... Tra l'altro con la distribuzione da parte di tutti gli OEM di un bios con supporto Zen5... che ovviamente ha un senso solamente con lancio Zen5 entro 2 mesi... e quel bios mi pare di inizio aprile...
L'unica affermazione ufficiale è quella di Lisa Su che riporta che il PP è ottimo... ma parlando di Epyc, dice tutto e nulla, perchè non sai se per 3nm o 4nm e parlando di Epyc, conta più una maggiore efficienza a frequenze medie che un PP che conceda frequenze più alte di quelle in previsione.
E quello che è più brutto, è che Zen4 non ha un calo listino in previsione del lancio di Zen5... perchè siamo ai primi di maggio e di solito la pulizia magazzini comincia un -3/-4 mesi dal lancio dell'architettura successiva... il che fa pensare ad un Zen5 almeno +30% di listino su Zen4.
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 00:41   #1602
mikael84
Senior Member
 
L'Avatar di mikael84
 
Iscritto dal: Mar 2007
Messaggi: 20906
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
P.S.
Io non faccio il gufo, ma guardo le cose del mercato. 2 anni fa quando dicevo che Meteor desktop sarebbe stato cancellato, avevo tutti contro, ma chi aveva ragione? Bastava solamente vedere le "mosse" Intel. Prima pompa magna su Intel4 da Intel7, poi Intel4/Meteor come non esistesse e pompa magna su Intel2/Arrow. Ora che sta facendo Intel? Pompa magna Intel1.8/Panther per metà 2025, quasi come Intel2/Arrow-Lunar non esistessero. Scusate, ma AMD sta per caso spostando il focus su Zen6/2nm? Quindi?
Qua diciamo che la svista è stata a livello di rumour, di intel4 è da anni che ne parlo, in quanto era già stato analizzato i primi del 2022, e si sapeva già avesse sei core, ed una riduzione area del -34%. Anche il nodo, era già stato messo a nudo, con intel che aveva preso un perf/watt massimo ISO a soli 0,65v ed a malapena 10% a soli 0,8v (ed il nodo è vs la forma base di intel7 non certo la ESFU (n7x tsmc like) di raptor.

Si sapeva pure che quel nodo aveva problemi ad alte tensioni e clock. Un PP deludente malgrado i valori CGP-CPP simil 5nm TSMC, tanto che per gli HPC, si sposta su intel 3, dove spera di potersi avicinare a TSMC.
Diciamo che a livello di densità effettiva, intel, malgrado i numeri di Borh è più un simil samsung.

Al momento meteor è costato 2x con soli 20k wafer totali, e non è certo un buon risultato.

Il 20A, dovrebbe al momento giocarsela con il 3nm TSMC, quindi non è neppure da escludere due produzioni separate, mobile/desktop.
Samsung invece, pare sia ancora al 60% di rese, uno scherzetto che ha condannato anche Ampere (nvidia) con i 7nm, dovendogli moddare un 10nm, rinunciando all'euv.
__________________
Ryzen 5800x3D - Msi B450TH - Corsair 32gb 3600 lpx - RTX 3080 FE - samsung 860 pro 1tb - 4tb storage - Acer g-sync xb270hu - XFX 850 watt - tim 200/20 mbps.
mikael84 è offline   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 09:08   #1603
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Quote:
Originariamente inviato da mikael84 Guarda i messaggi
Qua diciamo che la svista è stata a livello di rumour, di intel4 è da anni che ne parlo, in quanto era già stato analizzato i primi del 2022, e si sapeva già avesse sei core, ed una riduzione area del -34%. Anche il nodo, era già stato messo a nudo, con intel che aveva preso un perf/watt massimo ISO a soli 0,65v ed a malapena 10% a soli 0,8v (ed il nodo è vs la forma base di intel7 non certo la ESFU (n7x tsmc like) di raptor.

Si sapeva pure che quel nodo aveva problemi ad alte tensioni e clock. Un PP deludente malgrado i valori CGP-CPP simil 5nm TSMC, tanto che per gli HPC, si sposta su intel 3, dove spera di potersi avicinare a TSMC.
Diciamo che a livello di densità effettiva, intel, malgrado i numeri di Borh è più un simil samsung.

Al momento meteor è costato 2x con soli 20k wafer totali, e non è certo un buon risultato.

Il 20A, dovrebbe al momento giocarsela con il 3nm TSMC, quindi non è neppure da escludere due produzioni separate, mobile/desktop.
Samsung invece, pare sia ancora al 60% di rese, uno scherzetto che ha condannato anche Ampere (nvidia) con i 7nm, dovendogli moddare un 10nm, rinunciando all'euv.
Il grosso problema Intel è la realizzazione di una architettura che deve competere con AMD come IPC/core-count sulle basi di PP silicio con obiettivi densità/efficienza per pareggiare con TSMC e nei tempi di commercializzazione AMD.

La differenza non è la capacità di Intel vs AMD, ma l'enorme vantaggio AMD nell'avere con TSMC vari PP silicio (N4, N4X, N4P (e futuro N4C), N3, N3E (e future varianti X e P)) e N2 già disponibile in stesura.
AMD non solo ha l'enorme vantaggio di progettare una architettura a misura del silicio (cosa che Intel non ha, perchè per ovvi prb di tempo Intel è costretta a progettare l'architettura a braccetto con l'evoluzione PP silicio), ma addirittura poter sciegliere il PP più economico e una trasbordabilità che Intel non può avere (AMD può trasbordare TUTTO dal 5nm al 4nm più spinto a costo praticamente zero), perchè Zen5 ha ovviamente un costo R&D dell'architettura, ma a livello di silicio vale la stesura Zen4. Zen5 è come fosse Zen3 su Zen2 (entrambi 7nm). Meteor ha una stesura sua su Intel4, Arrow ha una stesura sua su Intel2, Lunar ha una stesura sua su Intel1.8.
Commercialmente AMD si trova l'R&D Zen4 completamente ripresa, un R&D Zen5 sicuramente molto inferiore a quella di Intel su Intel2/Arrow, e con Intel che si ritrova un disastro con Intel4/Meteor, e costi ben superiori ad AMD per R&D Intel2/Arrow e per Inte1.8/Lunar.


Una riflessione... l'SMT l'ha introdotto Intel riportando che l'aumento di transistor per il 2° TH era vantaggioso in rapporto all'aumento prestazionale, e questo è valido anche all'aumento dell'efficienza.
Allora perchè Intel pare abbandonerà l'SMT?
Per me perchè è più facile togliere l'SMT ai core P che portare i core E a SMT2.
Però si scontra contro AMD che è SMT2 su tutti i core.
Quindi ciò porterebbe AMD ad un vantaggio in prestazione/numero di transistor e di conseguenza anche un vantaggio efficienza/prestazione.

Intel per pareggiare con AMD dovrebbe prima pareggiare con TSMC il silicio e, dopo 1 anno, una architettura perfettamente ottimizzata per quel silicio (ex tik-tok). E questo dovrebbe essere Panther/Intel1.8 (vs Lunar/intel1.8), dato a metà 2025... ma che probabilmente non si scontrerà più con Zen5 2024 ma con Zen5/Zen6 2025.

P.S.
Meteor/Intel4 non è una svista a livello di rumor, è la naturale aspettativa creata dal reparto marketing Intel, perchè Intel4 = meglio di N5 TSMC e uguale a N4 TSMC.
Se oggi abbiamo il dubbio se Intel2 potrà confrontarsi o meno con il 4nm TSMC (N4P), non è un problema di rumor, ma una aspettativa creata dal marketing Intel in cui Intel2 è ovvio che sia meglio di TSMC 4nm, ma anche del 3nm TSMC.
Purtroppo ancora in molti non hanno compreso che il marketing Intel riporta situazioni future con TSMC/AMD congelati alla situazione attuale e Intel su obiettivi di progetto confermati e tempistiche "se va tutto bene" senza problemi. Mi pare ovvio che AMD tra 1 anno produrrà su silicio diverso, con architetture diverse, che il silicio Intel possa riscontrare problemi nel raggiungere gli obiettivi iniziali, che i problemi possano aver causato slittamenti di tempo...
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M

Ultima modifica di paolo.oliva2 : 07-05-2024 alle 12:17.
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 09:31   #1604
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Notebook con AMD Strix Point: Quando un alimentatore rivela la nuova linea Asus con Zen 5

https://www-computerbase-de.translat...t&_x_tr_pto=sc
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 09:42   #1605
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Qui va rivisto completamente il confronto prestazionale tra AMD ed Intel nelle fascie Intel non K.

Le CPU non K di quattordicesima generazione sono quasi il 40% più lente con il profilo Baseline di Intel

https://www-hardwaretimes-com.transl...t&_x_tr_pto=sc
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 10:18   #1606
SnobWatch
Senior Member
 
L'Avatar di SnobWatch
 
Iscritto dal: Sep 2022
Messaggi: 512
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Qui va rivisto completamente il confronto prestazionale tra AMD ed Intel nelle fascie Intel non K.

Le CPU non K di quattordicesima generazione sono quasi il 40% più lente con il profilo Baseline di Intel

https://www-hardwaretimes-com.transl...t&_x_tr_pto=sc
è esattamente quello che dicevo qualche giorno fa in questo thread...
il problema è che anche le CPU K ne sono afflitte.
a mio avviso tutti i benchmark comparativi andrebbero rivisti.

il 13900K di mio fratello (era quasi a default a parte il PL1 e 2 impostato a 253W e un leggero downvolt) per cui faceva "solo" 37K punti in CB23,
con il nuovo profilo Intel è passato a 33K punti su Asus Z690 Hero.

Un drop di performance molto importante che ribalta completamente gli equilibri a mio avviso.
__________________
AMD Ryzen 9 7950X3D PBO + Undervolt | MSI MEG X670E ACE | 4x16GB @ 6000mt/s C30 | RTX4090 MSI Suprim X @ 3GHz | Acer Predator X32FP | Corsair HX1200i 1200W | Cyberpower PR1500ELCD 1350W | Corsair 4000D | 2x Samsung 980PRO 2TB | Luciferin Ambilight Clone
(Sidegraded from a crappy Asus Z690 Maximus Extreme running a 13900K, never more Asus!)

Ultima modifica di SnobWatch : 07-05-2024 alle 10:27.
SnobWatch è offline   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 11:39   #1607
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Quote:
Originariamente inviato da SnobWatch Guarda i messaggi
è esattamente quello che dicevo qualche giorno fa in questo thread...
il problema è che anche le CPU K ne sono afflitte.
a mio avviso tutti i benchmark comparativi andrebbero rivisti.

il 13900K di mio fratello (era quasi a default a parte il PL1 e 2 impostato a 253W e un leggero downvolt) per cui faceva "solo" 37K punti in CB23,
con il nuovo profilo Intel è passato a 33K punti su Asus Z690 Hero.

Un drop di performance molto importante che ribalta completamente gli equilibri a mio avviso.
Qua riporta
Quote:
Il calo prestazionale è più pronunciato sulle SKU di fascia alta (non K) in quanto sono più limitate in termini di potenza. L'i9-14900 a 24 core diminuirà sempre più dell'i5-14400 a 10 core nei carichi di lavoro multi-thread, poiché necessita di più potenza per mantenere alimentati tutti i 24 core. Fortunatamente, le prestazioni single-core e di gioco sono meno influenzate , quindi l'utente medio non deve preoccuparsi di tutto questo. In futuro, le CPU Intel saranno svantaggiate rispetto ai rivali AMD nel rendering e in altri benchmark di creazione di contenuti.
Diciamo che Intel è stata maestra a ritardare il più possibile che un problema noto da tempo venisse reso di dominio pubblico, perchè le vendite Raptor caleranno comunque per Zen5 a prescindere dal problema, mentre se questo fosse stato reso pubblico già da Alder, l'impatto sulle vendite Intel i13 e i14 sarebbe stato enorme.
Non credo che faccia di certo onore ad Intel l'aver venduto CPU alternative ad AMD sulla base di prestazioni che determinavano il deterioramento e anche la morte della CPU. Ma Intel la CPU la sostirebbe in garanzia o no? Perchè se addossa il problema ai produttori di mobo, in realtà la garanzia decadrebbe e quindi il cliente si ritroverebbe un pezzo di silicio non funzionante... mi pare grave.

Per quello evidenziato... secondo me le percentuali vanno ridimensionate comunque nel contesto. Esempio... mi pare che dai test di confronto fatti, Intel performi ~-10% a pari consumo... il che non vuole dire che consuma +10% (perchè per ottenere +10% di prestazione il consumo sarà sempre e comunque >+10%).
Per uno che occa le CPU, -10% di efficienza rientra nel completamente accettabile, e mi pare corretto evidenziarlo. Quello che cambia oggi, è che un 7950X, tra ottimizzato al max e a def, c'è un +3% di prestazione a parità di consumo (perchè i 170W TDP/230W PPT quello è il massimo e quello rimane), e quindi oggi un +3% (o -3%) rappresenta quello che ieri era un +30% (o -30%).
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M

Ultima modifica di paolo.oliva2 : 07-05-2024 alle 12:45.
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 12:32   #1608
maxsin72
Senior Member
 
Iscritto dal: Apr 2004
Messaggi: 2570
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Qua riporta


Diciamo che Intel è stata maestra a ritardare il più possibile che un problema noto da tempo venisse reso di dominio pubblico, perchè le vendite Raptor caleranno comunque per Zen5 a prescindere dal problema, mentre se questo fosse stato reso pubblico già da Alder, l'impatto sulle vendite Intel i13 e i14 sarebbe stato enorme.
Non credo che faccia di certo onore ad Intel l'aver venduto CPU alternative ad AMD sulla base di prestazioni che determinavano il deterioramento e anche la morte della CPU. Ma Intel la CPU la sostirebbe in garanzia o no? Perchè se addossa il problema ai produttori di mobo, in realtà la garanzia decadrebbe e quindi il cliente si ritroverebbe un pezzo di silicio non funzionante... mi pare grave.
Visto come si è comportatata intel in passato (vedi concorrenza sleale contro AMD e relativa multa) non mi stupirebbe per niente se avessero programmato tutto a tavolino, calcolando bene anche il rapporto costi/benefici, in ogni caso ora potranno dire che arrow lake sarà nettamente più veloce della vecchia gen
__________________
AMD 7800X3D -MSI B650 EDGE - MSI 4090 GAMING X - 2X16GB GSKILL 6000MHZ CAS 30- SAMSUNG 980 PRO 2TB
maxsin72 è offline   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 15:31   #1609
SnobWatch
Senior Member
 
L'Avatar di SnobWatch
 
Iscritto dal: Sep 2022
Messaggi: 512
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Qua riporta
Fortunatamente, le prestazioni single-core e di gioco sono meno influenzate , quindi l'utente medio non deve preoccuparsi di tutto questo. In futuro, le CPU Intel saranno svantaggiate rispetto ai rivali AMD nel rendering e in altri benchmark di creazione di contenuti.
Concordo con entrambi... il
punto che ho quotato fa sorridere, è un pò come dire a uno che si compra la Ferrari che la sua andrà a soli 200 all'ora, ma non si deve preoccupare tanto lui la macchina non la sa portare e per questo non gli serve andare più veloce.
__________________
AMD Ryzen 9 7950X3D PBO + Undervolt | MSI MEG X670E ACE | 4x16GB @ 6000mt/s C30 | RTX4090 MSI Suprim X @ 3GHz | Acer Predator X32FP | Corsair HX1200i 1200W | Cyberpower PR1500ELCD 1350W | Corsair 4000D | 2x Samsung 980PRO 2TB | Luciferin Ambilight Clone
(Sidegraded from a crappy Asus Z690 Maximus Extreme running a 13900K, never more Asus!)

Ultima modifica di SnobWatch : 07-05-2024 alle 15:47.
SnobWatch è offline   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 19:20   #1610
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Speriamo che questo caso abbia come risultato un abbassamento dei consumi def (sia Intel che AMD), lasciando più spazio all'OC soggettivo.
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old 07-05-2024, 19:28   #1611
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
AMD punta tutto sul marchio AI, le APU Strix Point sono le prime ad adottare la nuova denominazione "Ryzen AI HX" simile al Core Ultra di Intel

https://wccftech-com.translate.goog/...t&_x_tr_pto=sc

Il nuovo Lenovo ThinkPad T14 Gen 5 trapela con APU AMD Strix Point

Quote:
Per quanto riguarda la data di lancio del Lenovo ThinkPad T14 Gen 5 e di altri computer portatili Strix Point, AMD ha confermato in precedenza che sarà nel 2024, ma non conosciamo ancora la tempistica esatta. C'è la possibilità che questi computer portatili vengano presentati al Computex 2024che si terrà il mese prossimo.
https://www.notebookcheck.it/Il-nuov....834808.0.html

Lenovo ThinkPad T14 Gen 5 Leak rivela il marchio Ryzen 8050 per le APU AMD Strix Point

Quote:
Lenovo ha già annunciato la sua linea AMD ThinkPad T14 Gen 5, che dovrebbe debuttare a giugno (2024) e partirà da € 1.299 (IVA esclusa), inizialmente con le APU Ryzen Pro 8040 "Hawk Point". Possiamo aspettarci le APU AMD Ryzen Strix Point non prima del terzo trimestre del 2024 (Zen5)
https://wccftech-com.translate.goog/...t&_x_tr_pto=sc
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old Ieri, 00:39   #1612
mikael84
Senior Member
 
L'Avatar di mikael84
 
Iscritto dal: Mar 2007
Messaggi: 20906
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
P.S.
Meteor/Intel4 non è una svista a livello di rumor, è la naturale aspettativa creata dal reparto marketing Intel, perchè Intel4 = meglio di N5 TSMC e uguale a N4 TSMC.
Se oggi abbiamo il dubbio se Intel2 potrà confrontarsi o meno con il 4nm TSMC (N4P), non è un problema di rumor, ma una aspettativa creata dal marketing Intel in cui Intel2 è ovvio che sia meglio di TSMC 4nm, ma anche del 3nm TSMC.
Purtroppo ancora in molti non hanno compreso che il marketing Intel riporta situazioni future con TSMC/AMD congelati alla situazione attuale e Intel su obiettivi di progetto confermati e tempistiche "se va tutto bene" senza problemi. Mi pare ovvio che AMD tra 1 anno produrrà su silicio diverso, con architetture diverse, che il silicio Intel possa riscontrare problemi nel raggiungere gli obiettivi iniziali, che i problemi possano aver causato slittamenti di tempo...
Quella superiorità, era per sbandierare la densità su legge Bohr (solo logica), capace di superare teoricamente i 5nm TSMC (anch'essi tramite quella legge fasulli), ma non si ripercuote sulla bontà del PP.
Da quasi 3 anni il intel4 è sbandierato con un picco del 21% a 0,65v intel7 ed appena il 10% a 0,8v, segno che il PP è pensato giusto per il mobile. Un problema già visto con ivy bridge, ottime performance a isofrequenza, ma non riusciva a reggere tensioni sopra 1,3v.

Riguardo Meteor, sono per lo più rumour, il chip analizzato quasi 3 anni fa, era 6 p core, quindi era facilmente auspicabile fosse per mobile.
Il 20A lo devo ancora analizzare, ma se riesce a giocarsela con il 3nm basic (non ottimale al debutto) è grasso che cola, soprattutto a certe tensioni, visto che l'isofrequenza intel è dannatamente bassa, e crolla già a media tensioni.
Intel 3 pensato per HPC invece è mediamente inferiore a TSMC3.

I vantaggi, sia di intel stanno cmq nelle densità, che di AMD, visto che con i 4nmP può anche toccare un +50% di densità.

Su zen5 cmq, il lavoro sul front end legato alle ALU dovrebbe essere importante, e mi aspetto un discretto salto. Il front end limita in parte anche i 3dcache, visto che l'aumento di IPC cache cozza con il front end.
__________________
Ryzen 5800x3D - Msi B450TH - Corsair 32gb 3600 lpx - RTX 3080 FE - samsung 860 pro 1tb - 4tb storage - Acer g-sync xb270hu - XFX 850 watt - tim 200/20 mbps.
mikael84 è offline   Rispondi citando il messaggio o parte di esso
Old Ieri, 06:14   #1613
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Se AMD e Nvidia hanno optato per il 4nm (tralasciando il 3nm), non penso abbiano fatto cartello ma siano arrivate entrambe alla stessa conclusione, cioè meglio N4X/N4P rispetto all'N3 (diverso N3E), perchè in fin dei conti sono in competizione anche tra loro. Da quello che si legge, l'N4P non è da meno dell'N3 prima versione (quello di Apple per intenderci)... vs N3E diverso... ma seguendo il tuo ragionamento, se Intel2 sarebbe grasso che cola arrivare all'N3 TSMC, allora è pressochè certo l'N4P sarà meglio di Intel2 (certamente meglio in termini di costi e resa, considerando che l'N4P è comunque un ulteriore affinamento e bonus trasportabilità considerando il futuro N4C -8% di costo). Su Intel3 non so nulla... ma penso che Intel sia costretta a saltare determinati PP se slittati troppo nel tempo e quindi non più commerciabili. Cioè, se un Intel3 fosse disponibile commercialmente a fine 2025, beh, per fine 2025 AMD potrebbe produrre sull'N4C, N3E, N3X, N3P e forse pure N2... è ovvio che Intel cerchi di risolvere sull'1.8/1.4. Secondo me, anche per quanto riporta Pat, quello che può cambiare è con i macchinari ASM nel 2027... prima è solamente da mettere una pietra sopra... l'unico bingo sarebbe quello di un PP che si avvicini il più possibile alle nanometrie TSMC, una AMD che canna l'architettura e un miracolo di architettura per Intel. Non è impossibile certamente... ma probabilità vicine allo zero. L'unica speranza Intel è riuscire a commercializzare un prodotto con buon margine commerciale sperando che AMD non faccia una guerra di prezzi (circostanza purtroppo remota), oppure, unica soluzione CERTA, produrre da TSMC con la stessa nanometria AMD ed amen, ovviamente con il proprio orgoglio sotto i piedi e le proprie FAB a girarsi i pollici (pure quelle in costruzione con il 50% di sovvenzione), tranne ovviamente la produzione da 45nm a 14nm che produce altro. Non era meglio produrre da TSMC la parte X86 ed in proprio l'IOD e iGPU?

----

Secondo me l'importanza della L3 3D su Zen5 rimarrà invariata... anzi, forse ci sarebbe la possibilità di un guadagno anche superiore vs Zen4 (questo perchè ogni architettura ha una spesa R&D bilanciata sulle possibilità hardware di quel periodo, tipo DDR5, PCI5 e quant'altro. A che pro spendere di più per realizzare un MC per DDR5 12000, un front/end che possa sfruttare questa banda e dei core con una capacità di elaborazione proporzionata a quella banda se tanto la banda disponibile sarebbe la metà?)
Premetto che di front/end e simili non ci capisco una mazza... però faccio un ragionamento IF THEN ELSE.
La L3 3D con Zen4 aiuta solamente con programmi L3 dipendenti, altrimenti guadagno zero, quindi virtualmente il front/end di Zen4 è "bilanciato" a Zen4, e se Zen4 supportasse 1:3 anche con DDR5 >10.000 e/o avesse il front/end di Zen5, non avrebbe bisogno della L3 3D o comunque il guadagno della L3 3D sarebbe inferiore.
Però Zen5 non è un Zen4 con front/end migliore, perchè un Zen4X3D su CB23 non guadagna nulla su Zen4X a parità di clock, mentre c'è un rumor di +46% del 9950X sul 7950X, da verificare ovviamente, ma comunque è ovvio che AMD con Zen5 non abbia solamente modificato il front/end lasciano i core Zen4 (INT e FP) invariati, ma avrà aumentato la potenza elaborativa. Cioè, è come se la L3 la paragonassimo ai pneumatici di una vettura... se ho dei 120mm è ovvio che con dei 240mm mi aumenta la stabilità, ma se con Zen5 aumento il motore da 2000CC a 3000CC, è ovvio che i copertoni da 240mm non risultino più extra-large come su Zen4.
C'è un altro punto... mentre con Intel vedo DDR5 8000+ e probabilmente si supererà DDR5 10.000+, in AMD non mi pare che ci sia un incremento significativo sull'MC di Zen4... e questo a me fa pensare che AMD se avesse risolto il prb di clock con la L3 3D, avrebbe un notevole guadagno commerciale con Zen5X3D, perchè la differenza di prezzo tra DDR5 6.000 e DDR5 10.000+ sarà comunque notevole, ben di più dei 5$ della L3 3D. Un Zen5X3D a +50$ sarebbe un guadagno extra per AMD ed un risparmio sulle DDR5 (stesse performances con DDR5 6000 fino a DDR5 10000+) per il cliente.

P.S.
Ho postato un rumor di un 7950X3D che avrebbe entrambi i chiplet con L3 3D. Per me è un falso.... però potrebbe essere anche una prova sul 7950X3D di un 9950X3D con L3 3D su entrambi i chiplet.
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M

Ultima modifica di paolo.oliva2 : Ieri alle 15:46.
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old Ieri, 12:32   #1614
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324


Comunque questo modello scappato fuori per errore da Asus, è pari pari tutti i rumor visti sino ad ora.

L'aumento di prestazione MT a fascia è enorme, penso >+60% rispetto agli odierni X8/16TH.

Credo che un core Zen5C, considerando una perdita di frequenza del 20% ed un aumento dell'IPC del 20% performi quanto un core Zen4 precedente, un X12 ha +50% di core rispetto ad un X8 (e di qui già +50%), aggiungiamoci la prestazione maggiore dei core Zen5 vs core Zen4.... et voilà. Probabilmente consumerà meno questo X12/24TH di quanto consumava l'X8 base Zen4.
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M

Ultima modifica di paolo.oliva2 : Ieri alle 13:08.
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
Old Ieri, 16:32   #1615
mikael84
Senior Member
 
L'Avatar di mikael84
 
Iscritto dal: Mar 2007
Messaggi: 20906
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Se AMD e Nvidia hanno optato per il 4nm (tralasciando il 3nm), non penso abbiano fatto cartello ma siano arrivate entrambe alla stessa conclusione, cioè meglio N4X/N4P rispetto all'N3 (diverso N3E), perchè in fin dei conti sono in competizione anche tra loro. Da quello che si legge, l'N4P non è da meno dell'N3 prima versione (quello di Apple per intenderci)... vs N3E diverso... ma seguendo il tuo ragionamento, se Intel2 sarebbe grasso che cola arrivare all'N3 TSMC, allora è pressochè certo l'N4P sarà meglio di Intel2 (certamente meglio in termini di costi e resa, considerando che l'N4P è comunque un ulteriore affinamento e bonus trasportabilità considerando il futuro N4C -8% di costo). Su Intel3 non so nulla... ma penso che Intel sia costretta a saltare determinati PP se slittati troppo nel tempo e quindi non più commerciabili. Cioè, se un Intel3 fosse disponibile commercialmente a fine 2025, beh, per fine 2025 AMD potrebbe produrre sull'N4C, N3E, N3X, N3P e forse pure N2... è ovvio che Intel cerchi di risolvere sull'1.8/1.4. Secondo me, anche per quanto riporta Pat, quello che può cambiare è con i macchinari ASM nel 2027... prima è solamente da mettere una pietra sopra... l'unico bingo sarebbe quello di un PP che si avvicini il più possibile alle nanometrie TSMC, una AMD che canna l'architettura e un miracolo di architettura per Intel. Non è impossibile certamente... ma probabilità vicine allo zero. L'unica speranza Intel è riuscire a commercializzare un prodotto con buon margine commerciale sperando che AMD non faccia una guerra di prezzi (circostanza purtroppo remota), oppure, unica soluzione CERTA, produrre da TSMC con la stessa nanometria AMD ed amen, ovviamente con il proprio orgoglio sotto i piedi e le proprie FAB a girarsi i pollici (pure quelle in costruzione con il 50% di sovvenzione), tranne ovviamente la produzione da 45nm a 14nm che produce altro. Non era meglio produrre da TSMC la parte X86 ed in proprio l'IOD e iGPU?

----

Secondo me l'importanza della L3 3D su Zen5 rimarrà invariata... anzi, forse ci sarebbe la possibilità di un guadagno anche superiore vs Zen4 (questo perchè ogni architettura ha una spesa R&D bilanciata sulle possibilità hardware di quel periodo, tipo DDR5, PCI5 e quant'altro. A che pro spendere di più per realizzare un MC per DDR5 12000, un front/end che possa sfruttare questa banda e dei core con una capacità di elaborazione proporzionata a quella banda se tanto la banda disponibile sarebbe la metà?)
Premetto che di front/end e simili non ci capisco una mazza... però faccio un ragionamento IF THEN ELSE.
La L3 3D con Zen4 aiuta solamente con programmi L3 dipendenti, altrimenti guadagno zero, quindi virtualmente il front/end di Zen4 è "bilanciato" a Zen4, e se Zen4 supportasse 1:3 anche con DDR5 >10.000 e/o avesse il front/end di Zen5, non avrebbe bisogno della L3 3D o comunque il guadagno della L3 3D sarebbe inferiore.
Però Zen5 non è un Zen4 con front/end migliore, perchè un Zen4X3D su CB23 non guadagna nulla su Zen4X a parità di clock, mentre c'è un rumor di +46% del 9950X sul 7950X, da verificare ovviamente, ma comunque è ovvio che AMD con Zen5 non abbia solamente modificato il front/end lasciano i core Zen4 (INT e FP) invariati, ma avrà aumentato la potenza elaborativa. Cioè, è come se la L3 la paragonassimo ai pneumatici di una vettura... se ho dei 120mm è ovvio che con dei 240mm mi aumenta la stabilità, ma se con Zen5 aumento il motore da 2000CC a 3000CC, è ovvio che i copertoni da 240mm non risultino più extra-large come su Zen4.
C'è un altro punto... mentre con Intel vedo DDR5 8000+ e probabilmente si supererà DDR5 10.000+, in AMD non mi pare che ci sia un incremento significativo sull'MC di Zen4... e questo a me fa pensare che AMD se avesse risolto il prb di clock con la L3 3D, avrebbe un notevole guadagno commerciale con Zen5X3D, perchè la differenza di prezzo tra DDR5 6.000 e DDR5 10.000+ sarà comunque notevole, ben di più dei 5$ della L3 3D. Un Zen5X3D a +50$ sarebbe un guadagno extra per AMD ed un risparmio sulle DDR5 (stesse performances con DDR5 6000 fino a DDR5 10000+) per il cliente.

P.S.
Ho postato un rumor di un 7950X3D che avrebbe entrambi i chiplet con L3 3D. Per me è un falso.... però potrebbe essere anche una prova sul 7950X3D di un 9950X3D con L3 3D su entrambi i chiplet.
Probabilmente nvidia è stata costretta ad utilizzarlo, altrimenti era ben più cnveniente il 3nmP, in produzione di massa quest'anno. E' vero che è più costoso, ma è ben più denso. Anzichè usare 2 monoliti over 800mm2 (circa 30 rese x 2/ 15 GPU x wafer), poteva creare un MCM da 5/500mm2, che oltre ad avere rese oltre il doppio, non era costretta a mutilarlo. Con gli altri HPC, nessun chip è uscito bene, tanto che la decurtazione è stata importante.
Lo stesso vale per le gaming, immagina una 5090 su un 750mm2...

AMD sulle GPU usa il 4nm, ma sarà solo in fascia bassa, che andrà a sostituire la 7600, chip di fascia bassa utilizzato il 6nm.

Riguardo Intel 3, è un nodo che verrà utilizzato solo ed eslusivamente per l'HEDT, è una rivisitazione di Intel4 con un +18% perf/watt 0,65v (quindi troppo basso), ma è un tantino più denso.
Non l'ho analizzato ma intel si aspetta un perf/watt mediamente in linea con il 3nm basic di TSMC, ma non è al livello in tutti gli altri parametri, quindi è più un 4 che un 3, visto che il 3 basic non è sto gran nodo (lo sarà il HP, vero diretto del 5nmP).
Il 20A invece, utilizzando i GAAFET dovrebbe essere pure migliore di un 3nm basic, ed in linea mediamente con gli altri parametri.
Rimane però il problema del clock e delle alte tensioni, i nodi intel hanno il picco a 0,65v, ma già a 0,8v scemano in parte.

Al momento il fiore all'occhiello è il 18A, dove intel spera di poter compettere seriamente con TSMC per poi batterlo con il 14A in tutti i parametri. Ora questo va preso con le pinze.
TSMC dopo i 3nm, mettere i GAAFET, ma saranno ben poca cosa, salvo i costi che passeranno da 20 a 25k.

Riguardo la cache 3d, su zen5, potrebbe essere abbastanza impattante su applicazione che ne fanno uso, come i giochi. La cache grazie all'HIT rate di quasi il 70%, devasta i classici che sui 32mb, sono più gli MPKI (cache miss) che gli HIT, se a questo ci aggiungi la capienza, si può ben capire come le unità di esecuzione ne traggano vantaggio aumentando l'IPC di quasi una gen.
Tuttavia, non si bypassa il front-end che è stato un collo sino al zen4, come anche la µop Cache e il decode.

Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Però Zen5 non è un Zen4 con front/end migliore, perchè un Zen4X3D su CB23 non guadagna nulla su Zen4X a parità di clock, mentre c'è un rumor di +46% del 9950X sul 7950X, da verificare ovviamente,
No, la 3d sbatte proprio sul front end, che sarà rivisto su zen5.
Cmq sul +46% sul CB, ancora è presto, è un valore quasi paragonabile al salto visto tra zen2 e zen4, quindi 2 gen su una nanometria molto simile in perf.
Insomma +46% perf/watt... abbiamo avuto questo in passato:
2700x vs 1800x +10% con 10watt TDP in più.
5950x vs 3950x +25% 7nm vs 7nmHP ottime rese moddate.
7700x vs 5800x +28%, (stesso TDP) e salto da 7 a 5nm (punteggio ST pompato dal clock della nanometria, circa 16-17%).

Al momento rivedere il front end serviva come il pane a zen, e ci credo molto in questa serie, ma meglio stare cauti.
__________________
Ryzen 5800x3D - Msi B450TH - Corsair 32gb 3600 lpx - RTX 3080 FE - samsung 860 pro 1tb - 4tb storage - Acer g-sync xb270hu - XFX 850 watt - tim 200/20 mbps.
mikael84 è offline   Rispondi citando il messaggio o parte di esso
Old Ieri, 16:47   #1616
CtrlAltSdeng!
Senior Member
 
L'Avatar di CtrlAltSdeng!
 
Iscritto dal: Jun 2023
Messaggi: 806
L'8 core zen5 base sarà il nuovo 2500k

mark my words
__________________
Pentium133|TMCAI5TV|32MBEDORAM|Millennium2MB|3dfxMonster3D4MB|DOS/Win95
PentiumIII450|AsusP3B-f|256MBPC100|3dfxVooDoo3AGP16MB|Win98SE
Athlon642800+|MSIKT3Ultra2|1GBDDR|AtiX1950XTX512MB|XPsp3
CtrlAltSdeng! è offline   Rispondi citando il messaggio o parte di esso
Old Ieri, 19:46   #1617
SnobWatch
Senior Member
 
L'Avatar di SnobWatch
 
Iscritto dal: Sep 2022
Messaggi: 512
Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Speriamo che questo caso abbia come risultato un abbassamento dei consumi def (sia Intel che AMD), lasciando più spazio all'OC soggettivo.
io invece spero proprio che l'OC scompaia del tutto.
mi diletto con l'OC dai tempi dei PIII e degli Athlon XP quindi sono uno che si diletta da veramente tanti anni ma al giorno d'oggi l'OC è diventata una pratica da ragazzetto perditempo.

ormai la tecnologia per sfruttare quegli ultimi punti percentuali di performance in automatico c'è, si chiama PBO per AMD e TVB per Intel.

Su AMD funziona alla grande, su Intel fa cag@re perchè Intel volutamente gioca sporco.
Le CPU AMD vanno più o meno tutte quante bene, come da specifica.
Chi un pò meglio, chi un pò meno, ma la specifica è chiara e le performance sono bene o male quelle per tutti.

Il problema è proprio che le compagnie come Intel, con la scusa dell'OC, vendono CPU instabili con i settaggi di default (vedi l'ultimo scandalo Intel), che hanno rese molto diverse le une dalle altre anche a parità di modello.
E' normale che ci siano dei 13900K che non superano i 33K in Cinebench (per avere un sistema veramente stabile), e altri che invece possono arrivare a 40K+ con qualche accortezza.

Con Intel però il concetto di stabililtà è molto molto molto più aleatorio di AMD.
Su AMD, dopo qualche test, puoi dire che il PC è stabile, punto.

Su Intel puoi essere stabile per quindici giorni con ogni tipo di test e crashare la settimana dopo per non si sa quale motivo, magari perchè tirava vento.
Molte delle CPU Intel che arrivano a 38K-40K sono stabili per il gaming o per qualche run di cinebench,
poi ci avvii un gioco UE5 e crashano. Ci fai una compilazione e crashano, ci lavori con programmi che usano davvero la CPU e crashano.
Steam è pieno di gente che si lamenta che i giochi crashano (non solo giochi con UE5) e guarda caso sono tutti possessori di CPU Intel.
I thread dei giochi dove c'è compilazione degli shader sono zeppi di gente che si lamenta dei crash perchè hanno CPU stabili come un ubriaco in punta di piedi,
alcune software house hanno addirittura abbassato il numero di thread paralleli da usare durante la compilazione degli shader per non spremere le CPU dei polli.

L'utonto si sente pure bravo e fortunello che ha la CPU da 40K di Cinebench, poi tira il vento e crasha.
ma possiamo avallare sta robaccia?

Pensate anche agli sviluppatori che si trovano coinvolti in delle shit storm per questi motivi,
sviluppatori che castrano appositamente l'uso della CPU per non far crashare i sistemi instabili, che ormai sono troppi con utenti pronti alla shitstorm.

Per me se le CPU in futuro si comportassero tutte come si comporta ora AMD ne avremmo tutti da guadagnare e soprattutto non ci sarebbero così tanti utenti che si prendono in giro da soli con processori che servono solo per fare qualche run di benchmark e nulla più.

Quote:
Originariamente inviato da paolo.oliva2 Guarda i messaggi
Per me è un falso.... però potrebbe essere anche una prova sul 7950X3D di un 9950X3D con L3 3D su entrambi i chiplet.
Dai su non farci sognare che poi quando torniamo nel mondo reale ci rimaniamo male
__________________
AMD Ryzen 9 7950X3D PBO + Undervolt | MSI MEG X670E ACE | 4x16GB @ 6000mt/s C30 | RTX4090 MSI Suprim X @ 3GHz | Acer Predator X32FP | Corsair HX1200i 1200W | Cyberpower PR1500ELCD 1350W | Corsair 4000D | 2x Samsung 980PRO 2TB | Luciferin Ambilight Clone
(Sidegraded from a crappy Asus Z690 Maximus Extreme running a 13900K, never more Asus!)

Ultima modifica di SnobWatch : Ieri alle 20:35.
SnobWatch è offline   Rispondi citando il messaggio o parte di esso
Old Ieri, 20:08   #1618
conan_75
Senior Member
 
Iscritto dal: Sep 2002
Città: Cagliari
Messaggi: 16394
L’overclock è per sua natura instabile.
Su AMD effettivamente il pbo lavora bene ma devi avere una dissipazione importante perchè per avere stabilità spara alto sulle tensioni, quindi a volte è pure controproducente.
Il CO negativo è grossa fonte di instabilità, così come spingere le ram.
Di buono con amd è che le prestazioni a default sono assolutamente valide
conan_75 è offline   Rispondi citando il messaggio o parte di esso
Old Ieri, 21:37   #1619
Ubro92
Senior Member
 
L'Avatar di Ubro92
 
Iscritto dal: Sep 2008
Messaggi: 12664
Diciamo pure che le cpu di oggi sono già belle che tirate di fabbrica.

Una volta l'incremento in OC era decisamente più ampio, anche le cpu lisce oramai più questione di clock, vengono limitate solo dal PL.

Più che occare, si tratta di ottimizzare in base al silicio che si becca.

Su AMD le soluzioni meglio bilanciate sono proprio i 3D, li prendi li monti e hai fatto, al massimo fai giusto un pelo di undervolt.
__________________
i7 3930K @4.51Ghz | Deepcool Assassin III | Asus x79 Rampage IV E | 4x4GB GSkill 1600Mhz C9 @2188mhz C10 | Manli RTX 3060ti @1905/1995Mhz - MEM @17Gbps | Crucial MX500 500 GB | 2tb WD Caviar black | EVGA SuperNova G2 750w | NZXT H510 Elite | Gigabyte G34QWC | Windows 11 Pro | TIME SPY
Ubro92 è offline   Rispondi citando il messaggio o parte di esso
Old Oggi, 06:20   #1620
paolo.oliva2
Senior Member
 
L'Avatar di paolo.oliva2
 
Iscritto dal: Jan 2002
Città: Urbino (PU)
Messaggi: 30324
Quote:
Originariamente inviato da mikael84 Guarda i messaggi
No, la 3d sbatte proprio sul front end, che sarà rivisto su zen5.
Cmq sul +46% sul CB, ancora è presto, è un valore quasi paragonabile al salto visto tra zen2 e zen4, quindi 2 gen su una nanometria molto simile in perf.
Insomma +46% perf/watt... abbiamo avuto questo in passato:
2700x vs 1800x +10% con 10watt TDP in più.
5950x vs 3950x +25% 7nm vs 7nmHP ottime rese moddate.
7700x vs 5800x +28%, (stesso TDP) e salto da 7 a 5nm (punteggio ST pompato dal clock della nanometria, circa 16-17%).

Al momento rivedere il front end serviva come il pane a zen, e ci credo molto in questa serie, ma meglio stare cauti.
Si dice che le CPU AMD Zen 5 presentino un aumento IPC di circa il 10%, leggermente superiore nel test a thread singolo Cinebench R23

https://wccftech-com.translate.goog/...t&_x_tr_pto=sc

Da BD a Zen 1: aumento IPC del 52%.
Da Zen 1 a Zen+: aumento IPC del 3%.
Zen + To Zen 2: aumento IPC del 15%.
Da Zen 2 a Zen 3: aumento IPC del 19%.
Da Zen 3 a Zen 4: aumento IPC del 13%.
Da Zen 4 a Zen 5: aumento IPC di circa il 10%.

E' un manager di Lenovo... quindi dovrebbe/potrebbe essere affidabile.

Per me +10% di IPC è troppo basso... come del resto +46% complessivo di performances per me è troppo alto.
Ora... se nel mobile immetti l'ibrido e passi da X8 Zen4 a X12 Zen5/Zen5C, con un core-count + 50%, +50% di TH ed un N4P che migliorando l'efficienza porterà il clock Zen5C ancor più vicino ai Zen4, l'incremento prestazionale (a fascia, intendo X12 ibrido vs X8) sarebbe enorme e virtualmente proponibilissimo pure con incremento IPC nullo.
Lato Epyc, simile, perchè passeresti da X96 a X128, pare +100MHz, vuol dire >+30% a CPU, anche qui +10% di IPC che ci sia o meno è indifferente.
Quello che non torna è +46% (sempre rumor) tra 9950X e 7950X... perchè se con +10% di IPC, anche ipotizzando +200MHz di clock massimo, non si arriverebbe a +15%.

A voler trovare i puntini... mi pare che il manager di Lenovo mischi un po' IPC e clock (affermando che in CB23 ST l'IPC è superiore)... quindi forse il +10% IPC nel mobile gli potrebbe essere scappato mischiando Zen5 e Zen5C (con frequenze diverse) vs un numero uguale di core Zen4 (tutti non C).

C'è un punto che è incomprensibile... la fascia desktop MCM (quella con i chiplet Epyc per intenderci) è stata SEMPRE l'offerta più prestazionale AMD. Se tutto fosse come sopra (X12 ibrido mobile e chiplet X12 portato nel desktop), sarebbero tutti prestazionalmente ben superiori all'MCM Epyc a chiplet (non ibrido), il che mi pare impossibile, perchè a sto punto AMD avrebbe optato per un chiplet MCM ibrido X12 e quindi X24 finale. Nel qual caso il +46% sarebbe comprensibile, considerando che al posto di X16/32TH si tratterebbe di X24/48TH.
__________________
7950X - X670E Asrock PG - Aio 360 Thermaltake - RS/DU TDP max 230W - CB23 39.117 https://ibb.co/M9j2bV7 - CPU-Z 815/16427 https://valid.x86.fr/jdgu90 - No overdrive - OCBench NO RS CB23 40.697 https://ibb.co/W0qnRQB - Codifica video https://ibb.co/Jm5Zj0M

Ultima modifica di paolo.oliva2 : Oggi alle 06:33.
paolo.oliva2 è online   Rispondi citando il messaggio o parte di esso
 Rispondi


ASUS ProArt PA32UCXR: 4K, Quantum Dot e Mini-LED i per professionisti dell'immagine ASUS ProArt PA32UCXR: 4K, Quantum Dot e Mini-LED...
HUAWEI WATCH FIT 3: lo smartwatch che ridefinisce design e fitness! Recensione HUAWEI WATCH FIT 3: lo smartwatch che ridefinisc...
HONOR 200 Lite, lo smartphone economico per ritratti, selfie, e non solo. La recensione HONOR 200 Lite, lo smartphone economico per ritr...
MG4, due settimane al volante dell'elettrica popolare: pregi, difetti e autonomia MG4, due settimane al volante dell'elettrica pop...
Tre giorni in Finlandia con OnePlus Watch 2 Nordic Blue. La nostra prova a temperature estreme Tre giorni in Finlandia con OnePlus Watch 2 Nord...
Scende a soli 1.049€ ASUS TUF con GeForc...
Broadcom taglia fuori AWS... dal servizi...
Battlefield: al lavoro il più gra...
Activision Blizzard: multa da 23,4 milio...
Intel bacchetta, di nuovo, i produttori ...
La missione cinese Chang'e-6 è en...
Boeing CST-100 Starliner: il lancio dell...
Un'altra GPU cinese raggiunge la produzi...
iPad Air non è più il tabl...
Minisforum AtomMan X7 Ti: il Mini PC da ...
iPad Pro: i nuovi modelli hanno tantissi...
Ecco come Cubbit DS3 ha permesso a Cloud...
Ring presenta Pan-Tilt Indoor Camera, la...
Apple elimina iPad 9 dalla gamma, ma ade...
Tante novità per ho.mobile: raddo...
Chromium
GPU-Z
OCCT
LibreOffice Portable
Opera One Portable
Opera One 106
CCleaner Portable
CCleaner Standard
Cpu-Z
Driver NVIDIA GeForce 546.65 WHQL
SmartFTP
Trillian
Google Chrome Portable
Google Chrome 120
VirtualBox
Tutti gli articoli Tutte le news Tutti i download

Strumenti

Regole
Non Puoi aprire nuove discussioni
Non Puoi rispondere ai messaggi
Non Puoi allegare file
Non Puoi modificare i tuoi messaggi

Il codice vB è On
Le Faccine sono On
Il codice [IMG] è On
Il codice HTML è Off
Vai al Forum


Tutti gli orari sono GMT +1. Ora sono le: 06:36.


Powered by vBulletin® Version 3.6.4
Copyright ©2000 - 2024, Jelsoft Enterprises Ltd.
Served by www1v